logoja e IntelIntel® Quartus® Prime Standard Edition
Versioni 22.1std Shënime të publikimit të mbështetjes së softuerit dhe pajisjes
Përditësuar për Intel® Quartus® Prime Design Suite: 22.1std.1
Udhëzues përdorimi

Intel® Quartus® Prime Standard Edition Version 22.1std Shënime të publikimit të mbështetjes së softuerit dhe pajisjes

Ky dokument ofron informacione të fundit rreth Intel® Quartus® Prime Standard Edition Version 22.1std dhe 22.1std.1.
Për informacion shtesë në lidhje me këtë version të softuerit, referojuni Intel Quartus Prime Standard Edition README file në vendndodhjen e mëposhtme: /quartus/readme.txt
Për informacion në lidhje me mbështetjen e sistemit operativ, referojuni sa vijon web faqe: Mbështetje për Sistemin Operativ Intel FPGA.

Informacione të Përafërta

  • Shënime të publikimit të softuerit dhe mbështetjes së pajisjes Intel Quartus Prime Pro Edition
  • Intel Quartus Prime Standard Edition Software Design për Linux
  • Intel Quartus Prime Standard Edition Software Design për Windows
  • Softuer i projektimit Intel Quartus Prime Lite Edition për Linux
  • Softuer i projektimit Intel Quartus Prime Lite Edition për Windows
  • Instalimi dhe licencimi i softuerit Intel FPGA

1.1. Karakteristikat dhe përmirësimet e reja
Intel Quartus Prime Standard Edition Software Version 22.1std dhe Version 22.1std.1 përfshijnë përditësime funksionale dhe të sigurisë. Mbajeni softuerin tuaj të përditësuar dhe ndiqni rekomandimet teknike që ndihmojnë në përmirësimin e sigurisë së instalimit tuaj Intel Quartus Prime.
Intel Quartus Prime Standard Edition Software Version 22.1std përfshin veçoritë dhe përmirësimet e reja të mëposhtme:

  • Mbështetje e shtuar për procesorin Nios® V/m.
  • Për pajisjet Intel MAX® 10, mbështetja e shtuar 1.8V LVDS.

Rregullime të gabimeve
Versioni 22.1std dhe versioni 22.1std.1 i softuerit Intel Quartus Prime Standard Edition gjithashtu përfshijnë rregullime të gabimeve. Review Problemet e softuerit të zgjidhura në faqen 13 dhe arnimet e softuerit të përfshira në këtë publikim në faqen 13 për të parë nëse ky version përmban rregullime për ose zgjidh ndonjë nga kërkesat tuaja të shërbimit ndaj klientit (Intel Premier Support).

1.2. Ndryshimet në sjelljen e softuerit
Ky seksion dokumenton raste në të cilat sjellja dhe cilësimet e paracaktuara të softuerit Intel Quartus Prime Standard Edition janë ndryshuar nga versionet e mëparshme të softuerit Intel Quartus Prime Standard Edition.
Referojuni Cilësimeve të parazgjedhura të Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf, për një listë të të gjitha cilësimeve të paracaktuara të caktimit për versionin më të fundit të softuerit Intel Quartus Prime.

1.2.1. Karakteristikat dhe funksionet e vjetruara
Funksionet dhe veçoritë e listuara në këtë seksion janë zhvlerësuar, por nuk janë hequr nga Intel Quartus Prime Standard Edition Version 22.1std.1 ose më herët.
Migroni veglat dhe proceset tuaja për të përdorur veçoritë dhe funksionet zëvendësuese ose alternative përpara se të hiqen veçoritë dhe funksionet e vjetruara.
Veçoritë dhe funksionet e vjetruara që nga Intel Quartus Prime Standard Versioni i Botimit 22.1std.1
Asnjë veçori ose funksion i Intel Quartus Prime nuk është përdorur në versionin 22.1.1 të Intel Quartus Prime Standard Edition.
Veçoritë dhe funksionet e vjetruara që nga Intel Quartus Prime Standard Versioni i botimit 22.1std
Asnjë veçori ose funksion i Intel Quartus Prime nuk është përdorur në versionin 22.1 të Intel Quartus Prime Standard Edition.
Karakteristikat dhe funksionet e vjetruara që nga versioni 21.1.1 i Intel Quartus Prime Standard Edition
Asnjë veçori ose funksion i Intel Quartus Prime nuk është përdorur në versionin 21.1.1 të Intel Quartus Prime Standard Edition.
Veçoritë dhe funksionet e vjetruara që nga Intel Quartus Prime Standard Versioni i botimit 21.1
Asnjë veçori ose funksion i Intel Quartus Prime nuk është përdorur në versionin 21.1 të Intel Quartus Prime Standard Edition.
Veçoritë dhe funksionet e vjetruara që nga Intel Quartus Prime Standard Versioni i botimit 20.1
Asnjë veçori ose funksion i Intel Quartus Prime nuk është përdorur në versionin 20.1 të Intel Quartus Prime Standard Edition.

1.2.2. Veçoritë dhe funksionet e hequra
Funksionet dhe veçoritë e listuara në këtë seksion janë hequr nga Intel Quartus Prime Standard Edition Version 22.1std.1 ose më herët.
Veçoritë dhe funksionet janë hequr nga Intel Quartus Prime Standard Edition Versioni 22.1std.1
Asnjë veçori ose funksion i Intel Quartus Prime nuk është hequr nga Intel Quartus Prime Standard Edition Version 22.1.1.
Veçoritë dhe funksionet janë hequr nga Intel Quartus Prime Standard Edition Versioni 22.1std
Asnjë veçori ose funksion i Intel Quartus Prime nuk është hequr nga Intel Quartus Prime Standard Edition Version 22.1.
Veçoritë dhe funksionet janë hequr nga Intel Quartus Prime Standard Edition Versioni 21.1.1
Asnjë veçori ose funksion i Intel Quartus Prime nuk është hequr nga Intel Quartus Prime Standard Edition Version 21.1.1.
Veçoritë dhe funksionet janë hequr nga Intel Quartus Prime Standard Edition Versioni 21.1

  • ModelSim*-Intel FPGA Edition dhe ModelSim-Intel FPGA Starter Edition u hoq
    Ky softuer simulues është zëvendësuar nga Questa*-Intel FPGA Edition dhe Questa-Intel FPGA Starter Edition përkatësisht.
  • U hoq mbështetja për softuerin e simulimit 32-bit.
    Ky ndryshim heq mbështetjen për mjetet e mëposhtme të simulimit:
    — Aldec* Active-HDL* (32-bit)
    Përdorni një version 64-bit të Aldec Active-HDL ose përdorni Aldec Riviera-PRO* në vend të tyre.
    — Mentor Graphics* ModelSim PE
    Në vend të kësaj, përdorni Siemens* EDA ModelSim SE ose Siemens EDA Questa Advanced Simulator.
  • U hoq mbështetja e NicheStack TCP/IP Stack.
  • U hoq mbështetja për Cadence* Incisive* Enterprise Simulator (IES).

Veçoritë dhe funksionet janë hequr nga Intel Quartus Prime Standard Edition Versioni 20.1
Mbështetja për softuerin e mëposhtëm është hequr nga Intel Quartus Prime Standard Edition Version 20.1 dhe më vonë:

  • Ndërtues DSP për Intel FPGA
  • Intel FPGA SDK për OpenCL™ (*)
  • Intel FPGA RTE për OpenCL
  • Përpiluesi i sintezës së nivelit të lartë të Intel (HLS).

(*) OpenCL dhe logoja OpenCL janë marka tregtare të Apple Inc. të përdorura me lejen e Khronos Group™

1.3. Mbështetja e Sistemit Operativ
Informacioni rreth mbështetjes së sistemit operativ për Intel Quartus Prime Design Suite disponohet në faqen Mbështetja e Sistemit Operativ të Intel FPGA webfaqe.
Mbështetja e sistemit operativ Ndryshimet në Intel Quartus Prime Standard Edition Versioni 22.1std.1
Nuk ka ndryshime të mbështetjes së sistemit operativ në Intel Quartus Prime Standard Edition Version 22.1std.1.
Mbështetja e sistemit operativ Ndryshimet në Intel Quartus Prime Standard Edition Versioni 22.1std
Mbështetja për sistemet operative të mëposhtme është e vjetëruar që nga versioni 22.1 i Intel Quartus Prime Standard Edition:

  • CentOS* Linux 8.2
  • Windows Server* 2012
  • Windows Server 2016
  • Windows* 10 Versioni 1607

Migroni instalimin tuaj të Windows 10 në Windows 10 Versioni 1809 ose më i ri.
Mbështetja për këto sisteme operative mund të hiqet në një version të ardhshëm.
Intel Quartus Prime Standard Edition Version 22.1 hoqi mbështetjen për sistemet operative të mëposhtme:

  • CentOS Linux 7.5
  • CentOS Linux 8.0 (1)
  • CentOS Linux 8.1 (1)
  • Red Hat* Enterprise Linux* 7
  • Red Hat Enterprise Linux 8.0 (2)
  • Red Hat Enterprise Linux 8.1 (2)

Mbështetja e sistemit operativ Ndryshimet në Intel Quartus Prime Standard Edition Versioni 21.1.1
Nuk ka ndryshime të mbështetjes së sistemit operativ në Intel Quartus Prime Standard Edition Version 21.1.1.
Mbështetja e sistemit operativ Ndryshimet në Intel Quartus Prime Standard Edition Versioni 21.1
Intel Quartus Prime Standard Edition Version 21.1 shtoi mbështetje për sistemet operative të mëposhtme:

  1. CentOS Linux 8.2 mbetet i mbështetur nga Intel Quartus Prime Standard Edition Version 22.1
  2. Red Hat* Enterprise Linux 8.2 mbetet i mbështetur nga Intel Quartus Prime Standard Edition Version 22.1
  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • Serveri SUSE* Linux Enterprise 15
  • Ubuntu* Linux 20 LTS
  • Windows Server 2019

Mbështetja për sistemet operative të mëposhtme është e vjetëruar që nga versioni 21.1 i Intel Quartus Prime Standard Edition. Mbështetja për këto sisteme operative mund të hiqet në një version të ardhshëm:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7

Intel Quartus Prime Standard Edition Version 21.1 hoqi mbështetjen për sistemet operative të mëposhtme:

  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

Informacione të Përafërta
Mbështetja e Sistemit Operativ

1.4. Rekomandime për hapësirën në disk dhe memorie
Një instalim i plotë i softuerit Intel Quartus Prime Standard Edition kërkon deri në 40 GB hapësirë ​​të disponueshme në disk.
Konfiguro sistemin tuaj për të siguruar memorie virtuale shtesë të barabartë me RAM-in e rekomanduar fizik që kërkohet për të përpunuar dizajnin tuaj. Kjo memorie virtuale shtesë në mënyrë efektive dyfishon memorien totale efektive të disponueshme për të përpunuar dizajnin tuaj.
Shënim:
Kujtesa virtuale maksimale mund të tejkalojë këto rekomandime. Këto rekomandime bazohen në sasinë e memories fizike që kërkohet për të arritur kohëzgjatjen brenda 10% të asaj që arrihet në harduer me një sasi të pafund RAM.

Tabela 1.
Kërkesat e kujtesës për përpunimin e dizajneve Arria®
Këto kërkesa janë të njëjta për instalimet Windows dhe Linux.

Familja Pajisja RAM-i fizik i rekomanduar
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10ASO48, 10AX048 28 GB
10AX032, 10AS032 24 GB
10AX027, 10AS027 22 GB
10AX022, 10AS022 20 GB
10AX016, 10AS016 18 GB
Arria V 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 GB
5AGXA7, 5AGTC7 10 GB
5AGTC3, 5AGXA3, 5AGXA5 8 GB
5AGXA1 6 GB
Arria V GZ 5AGZE7 16 GB
5AGZE3, 5AGZE5 12 GB
5AGZE1 8 GB
Arria II GX EP2AGX260 6 GB
EP2AGX95, EP2AGX125, EP2AGX190 4 GB
EP2AGX65 2 GB
EP2AGX45 1.5 GB
Arria II GZ EP2AGZ350 8 GB
EP2AGZ300 6 GB
EP2AGZ225 4 GB

Tabela 2.
Kërkesat e memories për përpunimin e modeleve Cyclone®
Këto kërkesa janë të njëjta për instalimet Windows dhe Linux.

Familja Pajisja RAM-i fizik i rekomanduar
Intel Cyclone® 10 LP 10CL120 1.5 GB
10CL080, 10CL055 1 GB
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 MB
Cikloni V 5CEA9, 5CGTD9, 5CGXC9 8 GB
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 6 GB
Cikloni IV GX EP4CGX110, EP4CGX150 2 GB
EP4CGX50, EP4CGX75 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30 512 MB
Cikloni IV E EP4CE115 1.5 GB
EP4CE55, EP4CE75 1 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 MB

Tabela 3.
Kërkesat e memories për përpunimin e modeleve MAX
Këto kërkesa janë të njëjta për instalimet Windows dhe Linux.

Familja Pajisja RAM-i fizik i rekomanduar
Intel MAX 10 10M50 2 GB
10M16 2 GB
10M25 2 GB
10M40 2 GB
10M04, 10M08 1 GB
10M02 512 MB
MAX V Të gjitha 512 MB
MAX II Të gjitha 512 MB

Tabela 4.
Kërkesat e memories për përpunimin e Stratix®Designs
Këto kërkesa janë të njëjta për instalimet Windows dhe Linux.

Familja Pajisja RAM-i fizik i rekomanduar
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 GB
5SGXA9, 5SEE9 24 GB
5SGTC7, 5SGXA7, 5SGSD8 20 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 GB
5SGSD3 8 GB
Stratix IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 GB
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 GB
EP4SGX290 6 GB
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 GB
EP4SGX70 2 GB

1.5. Mbështetja e pajisjes dhe statusi i pin-Out
Të gjitha pajisjet e prodhimit aktualisht kanë kompilim të plotë, simulim, analizë të kohës dhe mbështetje programimi.
1.5.1. Ndryshimet në mbështetjen e pajisjes
1.6. Modeli i kohës, modeli i energjisë dhe statusi i pajisjes
Tabela 5.
Modeli i kohës, modeli i energjisë dhe statusi i pajisjes për pajisjet Intel Arria 10

Familja e pajisjes Pajisja Statusi i modelit të kohës Statusi i modelit të energjisë Statusi i pajisjes
Intel Arria 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 Finalja – 16.1 (3)(4) Finalja – 17.0 Finalja – 17.0
10AX048, 10AS048 Finalja – 16.0.2 (4) Finalja – 17.0 Finalja – 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 Finalja – 16.0.1 (4) Finalja – 16.0.1 Finalja – 16.0.1
10AX115, 10AT115 Finalja – 16.0 (4) Finalja – 16.0 Finalja – 16.0

(3) Pajisjet me shkallë shpejtësie -1 u finalizuan në versionin 17.0 të softuerit Intel Quartus Prime
(4) Të gjitha pajisjet e klasës ushtarake u finalizuan në versionin 18.0.1 të softuerit Intel Quartus Prime.

Tabela 6.
Modeli i kohës, modeli i energjisë dhe statusi i pajisjes për pajisjet Intel Cyclone 10

Familja e pajisjes Pajisja Statusi i modelit të kohës Statusi i modelit të energjisë Statusi i pajisjes
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 Finalja – 17.0 Finalja – 17.1 Finalja – 17.1

Tabela 7.
Modeli i kohës, modeli i energjisë dhe statusi i pajisjes për pajisjet Intel MAX 10

Familja e pajisjes Pajisja Statusi i modelit të kohës Statusi i modelit të energjisë Statusi i pajisjes
Intel MAX 10 10M02, 10M04, 10M08 Finalja – 15.1 (5) Finalja – 15.1 Finalja – 15.1
10M16, 10M25, 10M40, 10M50 Finalja – 15.1.2 Finalja – 15.1 Finalja – 15.1

Versioni aktual i softuerit Intel Quartus Prime përfshin gjithashtu modelet përfundimtare të kohës dhe fuqisë për pajisjet Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V SoC, MAX II, MAX II Z, MAX V, Stratix V, dhe familjet. Modelet e kohës për këto familje pajisjesh u bënë përfundimtare në versionet e softuerit Intel Quartus Prime 11.1 ose më të hershme.

1.7. Modelet IBIS
Tabela 8. Statusi i modelit IBIS për softuerin Intel Quartus Prime Standard Edition Versioni i lëshimit 22.1std
Duke filluar në versionin 16.0 të softuerit Intel Quartus Prime Standard Edition, familjet e pajisjeve kanë statuse të modelit IBIS që janë ose të përparuara, paraprake ose përfundimtare.

Familja e pajisjes Statusi i Modelit IBIS
Intel Arria 10 Finalja – 16.1.2
Arria V Në lidhje me funksionimin e pajisjes PHY - 14.0
Arria II GX Në lidhje me funksionimin e pajisjes PHY - 11.1
Arria II GZ Në lidhje me funksionimin e pajisjes PHY - 11.1
Intel Cyclone 10 LP Finalja – 17.0
Cikloni V Në lidhje me funksionimin e pajisjes PHY - 14.0
Cikloni IV E Në lidhje me funksionimin e pajisjes PHY - 11.1
Cikloni IV GX Në lidhje me funksionimin e pajisjes PHY - 11.1
Intel MAX 10 Finalja – 16.0
MAX V Në lidhje me funksionimin e pajisjes PHY - 11.1
Stratix V Në lidhje me funksionimin e pajisjes PHY - 13.0 SP1
Stratix IV Në lidhje me funksionimin e pajisjes PHY - 11.1

Modelet e përditësuara IBIS janë të disponueshme në internet në Modelet IBIS për pajisjet Intel FPGA web faqe. Kjo faqe përditësohet pasi modelet IBIS për pajisjet bëhen të disponueshme ose përditësohen.
(5) Statuset e modelit të kohës për pjesët e shkallës së shpejtësisë MAX 10 A6 mbeten si Paraprake.
1.8. Informacioni i ndërfaqes EDA
Tabela 9.
Mjetet e sintezës që mbështesin versionin 22.1std të lëshimit të softuerit të Edicionit Standard të Intel Quartus Prime

Mjetet e sintezës Versioni
Siemens EDA Precision* Versionet e Siemens EDA Precision që mbështesin softuerin Intel Quartus Prime zakonisht lëshohen pas lëshimit të softuerit Intel Quartus Prime. Kontaktoni Siemens EDA për versionet e Siemens EDA Precision që mbështesin versionin 22.1std të versionit XNUMXstd të softuerit të versionit standard të versionit Intel Quartus Prime.
Synopsys* Synplify*, Synplify Pro* dhe Synplify Premier Versionet Synopsys Synplify, Synplify Pro dhe Synplify Premier që mbështesin softuerin Intel Quartus Prime zakonisht lëshohen pas lëshimit të softuerit Intel Quartus Prime. Kontaktoni Synopsys për versionet e Synplify, Synplify Pro dhe Synplify Premier që mbështesin Intel Quartus Prime Standard Edition Software Release Version 22.1std.

Tabela 10.
Mjetet e simulimit që mbështesin versionin standard të Intel Quartus Prime Versioni i lëshimit të softuerit 22.1std
Mjetet e mëposhtme të simulimit ofrojnë RTL dhe simulim funksional të nivelit të portës. Mbështeten vetëm mjete simulimi 64-bitësh.

Mjetet e simulimit Versioni
Aldec Active-HDL 13.0 (vetëm Windows)
Aldec Riviera-PRO 2019.1
Cadence Xcelium* Simulimi i logjikës paralele 21.09.003 (vetëm Linux*)
Questa-Intel FPGA Edition 2021.2
Siemens EDA ModelSim SE 2020.4
Simulator i avancuar i Siemens EDA Questa 2020.4
Synopsys VCS* dhe VCS MX P-2019.06-SP2-5 (vetëm Linux)

Questa-Intel FPGA Edition kërkon versionin e demonit të licencimit FlexLM 11.16.4.0 (ose më vonë). Mund ta merrni demonin e licencimit nga FlexLM License Daemons për Softuerin Intel FPGA web faqe.
Mund të merrni versionin Intel FPGA të mjeteve të simulimit nga Qendra e Shkarkimit për FPGA.
Mbështetje e sistemit operativ për Questa-Intel FPGA Edition Version 2021.2

  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 8 (64-bit)
  • SUSE Linux Enterprise Server 12 (64-bit)
  • Windows 10 (64-bit)

Informacione të Përafërta

  • Intel Quartus Prime Standard Edition Software Design për Linux
  • Intel Quartus Prime Standard Edition Software Design për Windows
  • Softuer i projektimit Intel Quartus Prime Lite Edition për Linux
  • Softuer i projektimit Intel Quartus Prime Lite Edition për Windows

1.9. Verifikimi antivirus
Softueri Intel Quartus Prime është verifikuar pa viruse me softuerin e mëposhtëm:
Softuer i verifikimit antivirus për Intel Quartus Prime Standard Edition Versioni 22.1std.1
Linja e komandës McAfee VirusScan për Linux64 Versioni: 7.0.0.477
Versioni i AV Engine: 6300.9389 për Linux64.
Versioni i setit: 10629 krijuar më 22 shkurt 2023
Softuer i verifikimit antivirus për Intel Quartus Prime Standard Edition Versioni 22.1std
Linja e komandës McAfee VirusScan për Linux64 Versioni: 7.0.0.477
Versioni i AV Engine: 6300.9389 për Linux64.
Versioni i grupit të të dhënave: 10505 krijuar më 19 tetor 2022

1.10. Problemet e softuerit të zgjidhura
Asnjë kërkesë për shërbime ndaj klientit nuk u fiksua dhe nuk ishte zgjidhur ndryshe në versionin 22.1std.1 të Intel Quartus Prime Standard Edition.
Kërkesat e mëposhtme për shërbimin ndaj klientit u rregulluan ose u zgjidhën ndryshe në Intel Quartus Prime Standard Edition Version 22.1std:
Tabela 11.
Çështjet e zgjidhura në versionin 22.1std të Intel Quartus Prime Standard Edition

Numrat e rastit të mbështetjes Intel Premier
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

1.11. Arna softuerike të përfshira në këtë publikim
Intel Quartus Prime Standard Edition Version 22.1std.1 përmban arna të mëposhtme për versionet e mëparshme të softuerit Intel Quartus Prime Standard Edition:
Tabela 12.
Arna softuerike të përfshira në Versionin Standard të Edition Intel Quartus Prime 22.1std.1

Software Version Patch Numri i Kërkesës për Shërbimin e Klientit
Versioni i Intel Quartus Prime 22.1 0.01
Versioni i Intel Quartus Prime 21.1 0.14 00741067

Intel Quartus Prime Standard Edition Version 22.1std përmban arna të mëposhtme për versionet e mëparshme të softuerit Intel Quartus Prime Standard Edition:
Tabela 13. Arna të softuerit të përfshirë në versionin 22.1std të Intel Quartus Prime Standard Edition

Software Version Patch Numri i Kërkesës për Shërbimin e Klientit
Versioni i Intel Quartus Prime 21.1 0.10
Versioni i Intel Quartus Prime 21.1 0.08 00693884
Versioni i Intel Quartus Prime 21.1 0.07 00501636
Versioni i Intel Quartus Prime 21.1 0.06 00689611
Versioni i Intel Quartus Prime 21.1 0.04stdp
Versioni i Intel Quartus Prime 21.1 0.03
Versioni i Intel Quartus Prime 21.1 0.02
Versioni i Intel Quartus Prime 20.1.1 1.09 00702107
Versioni i Intel Quartus Prime 20.1 0.14 00702107
Versioni i Intel Quartus Prime 18.1.1 1.13
Versioni i Intel Quartus Prime 18.1.1 1.12
Versioni i Intel Quartus Prime 18.1.1 1.09
Versioni i Intel Quartus Prime 18.1 0.23 00698210
Versioni i Intel Quartus Prime 18.1 0.21 00669646
Versioni i Intel Quartus Prime 18.1 0.20 00689611

1.12. Çështjet më të fundit të njohura të softuerit Intel Quartus Prime
Informacioni rreth çështjeve të njohura që ndikojnë në versionin 22.1std të versionit standard të Intel Quartus Prime është i disponueshëm në bazën e njohurive Intel FPGA.
Për informacionin më të fundit në lidhje me çështjet që prekin Intel Quartus Prime Standard Edition Version 22.1std, riview artikujt e bazës së njohurive Intel FPGA që zbatohen për versionin 22.1std të Intel Quartus Prime Standard Edition.
Tabela 14.
Çështje të rëndësishme të njohura që ndikojnë në versionin 22.1std të Intel Quartus Prime Standard Edition

Përshkrimi Zgjidhje
Në sistemet Microsoft* Windows, SDI II Intel FPGA IP dizajni exampgjenerimi le dështon me mesazhin e mëposhtëm të gabimit:
Gabim: Dështoi të gjenerohej example design example_design për:: \sdi_ii_0_example_design
Për detaje dhe disponueshmërinë e një rregullimi, referojuni Pse SDI II Intel FPGA IP dizajnon exampdështon gjenerimi kur përdorni softuerin Intel Quartus Prime për Windows? në bazën e njohurive Intel FPGA.
Në sistemet Microsoft Windows, gabimi i mëposhtëm ndodh kur krijoni një Intel Arria 10 EMIF ExampDizajni për simulim:
Gabim: emif_0: Ka ndodhur një gabim gjatë gjenerimit të simulimit example design. Shiko make_sim_design_errors.log për detaje.
Gabim: Dështoi të gjenerohej example design te:ample design directory> Generate Example Dizajni: i plotësuar me gabime
Ju mund t'i injoroni me siguri këto mesazhe paralajmëruese. Simulimi file grupet për softuerin e simulimit Siemens EDA Questa dhe Aldec Riviera-PRO janë krijuar dhe përmbajnë dizajnin përkatës files për të ekzekutuar me sukses simulimin. Për më shumë detaje dhe disponueshmërinë e një rregullimi, referojuni Pse funksionon Ex. Intel Arria 10 EMIFampDështon gjenerimi i dizajnit kur përdoret versioni 22.1 i softuerit Intel Quartus Prime Standard Edition për Windows? në bazën e njohurive Intel FPGA.
Kur përdorni modalitetin e kalibrimit të kalibrimit të IP-së Intel Arria 10 EMIF, simulimi i IP-së Intel Arria 10 EMIF me softuerin e simulimit Siemens EDA Questa (Siemens EDA Questa
Simulator i avancuar ose Questa-Intel FPGA Edition) mund të varet.
Përdorni opsionin Abstract PHY për simulimin e shpejtë të simulimit për të parandaluar varjen.
Për më shumë detaje dhe disponueshmërinë e një rregullimi, referojuni Pse simulimi i IP-së Intel Arria 10 EMIF në simulatorët Mentor varet kur përdoret versioni 22.1 i softuerit Intel Quartus Prime Standard Edition në bazën e njohurive Intel FPGA.

Ju mund të gjeni informacione të njohura për çështjet për versionet e mëparshme të softuerit Quartus Prime në bazën e njohurive Intel FPGA web faqe.
Informacioni rreth çështjeve të njohura të softuerit që prekin versionet e mëparshme të softuerit Quartus II disponohen në Mbështetjen e softuerit Intel Quartus Prime dhe Quartus II web faqe.
Informacioni rreth çështjeve që prekin Bibliotekën IP të Intel FPGA është i disponueshëm në shënimet e lëshimit për secilën IP. Ju mund t'i gjeni shënimet e lëshimit të IP në Indeksin e Dokumentacionit Intel FPGA web faqe.
Informacione të Përafërta

  • Baza e njohurive Intel FPGA
  • Mbështetje e softuerit Intel Quartus Prime dhe Quartus II
  • FPGA-të e Intel-it dhe shënimet e lëshimit të pajisjeve të programueshme

1.13. Softuer dhe pajisje Intel Quartus Prime Standard Edition Mbështetje Arkivat e Shënimeve të Publikimit
Për versionet më të fundit dhe të mëparshme të këtyre shënimeve të lëshimit, referojuni Softuerit Intel Quartus Prime Standard Edition dhe Shënimet e lëshimit të mbështetjes së pajisjes. Nëse një version i softuerit nuk është i listuar, zbatohen shënimet e lëshimit për versionin e mëparshëm të softuerit.
1.14. Publikimi i softuerit Intel Quartus Prime Standard Edition Historia e rishikimit të dokumentit të versionit 22.1

Versioni i dokumentit Versioni i Intel Quartus Prime Ndryshimet
2023.03.21 22.1std.1 • Përditësuar për versionin 22.1std.1
• Numri i korrigjuar i versionit për Versionin 22.1std.
2022.11.07 22.1 • Çështjet më të fundit të njohura të softuerit të përditësuar.
2022.10.31 22.1 • Lirimi fillestar.

Intel Quartus Prime Standard Edition: Version 22.1std Software dhe Device Support Notes

intel 25G Ethernet Intel FPGA IP - Simboli 1 Versioni Online
intel 25G Ethernet Intel FPGA IP - Simboli 2 Dërgo koment
ID: 683593
RN-01080-22.1std
Versioni: 2023.03.21

Dokumentet / Burimet

Intel Quartus Prime Standard Edition [pdfUdhëzuesi i përdoruesit
Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition

Referencat

Lini një koment

Adresa juaj e emailit nuk do të publikohet. Fushat e kërkuara janë shënuar *